Logo
Unionpedia
Comunicación
Disponible en Google Play
¡Nuevo! ¡Descarga Unionpedia en tu dispositivo Android™!
Instalar
¡Más rápido que el navegador!
 

Automatización de diseño electrónico

Índice Automatización de diseño electrónico

La automatización de diseño electrónico (del inglés Electronic Design Automation, o simplemente EDA) se refiere a una categoría de herramientas de software enfocadas en el proyecto, concepción, y producción de sistemas electrónicos, abarcando desde el proyecto de circuitos integrados hasta el desarrollo de placas de circuito impreso.

30 relaciones: Capitalización de mercado, Carver Mead, Circuito impreso, Circuito integrado, Departamento de Defensa de los Estados Unidos, Diseño asistido por computadora, Diseño de circuitos, Fotoplóter, Front end y back end, GDSII, Herramienta, Hewlett-Packard, Integración a muy gran escala, Intel, Litografía, Lynn Conway, Matriz de puerta programable en campo, Máscara fotográfica, Microelectrónica, Planta de fabricación de semiconductores, Semiconductor, Software, SPICE, Synopsys, Universidad de Míchigan, Universidad Federal de Paraná, Unix, Verificación formal, Verilog, VHDL.

Capitalización de mercado

La capitalización de mercado, capitalización bursátil o valor en bolsa, es una medida de una empresa o su dimensión económica, y es igual al precio por acción en un momento dado multiplicado por el número de acciones en circulación de una empresa de capital abierto, e indica el patrimonio disponible para la compra y venta activa en la bolsa.

¡Nuevo!!: Automatización de diseño electrónico y Capitalización de mercado · Ver más »

Carver Mead

Carver Andress Mead (1 de mayo de 1934 en Bakersfield, California) es un prominente informático teórico estadounidense.

¡Nuevo!!: Automatización de diseño electrónico y Carver Mead · Ver más »

Circuito impreso

En electrónica, una placa de circuito impreso es una superficie constituida por caminos, pistas o lapizes de circuitos buses de material conductor laminadas sobre una base no conductora.

¡Nuevo!!: Automatización de diseño electrónico y Circuito impreso · Ver más »

Circuito integrado

Un circuito integrado (CI), también conocido como chip o microchip, es una estructura de pequeñas dimensiones de material semiconductor, normalmente silicio, de algunos milímetros cuadrados de superficie (área), sobre la que se fabrican circuitos electrónicos generalmente mediante fotolitografía y que está protegida dentro de un encapsulado plástico o de cerámica.

¡Nuevo!!: Automatización de diseño electrónico y Circuito integrado · Ver más »

Departamento de Defensa de los Estados Unidos

El Departamento de Defensa de Estados Unidos (acrónimo: DoD o USDOD incluso DOD) es un departamento ejecutivo del gobierno federal de Estados Unidos encargado de coordinar y supervisar todas las agencias y funciones del gobierno directamente relacionadas con la seguridad nacional y las Fuerzas Armadas de Estados Unidos.

¡Nuevo!!: Automatización de diseño electrónico y Departamento de Defensa de los Estados Unidos · Ver más »

Diseño asistido por computadora

El diseño asistido por computadora, habitualmente conocido como CAD (por sus siglas en inglés computer-aided design), es el uso de computadores para ayudar en la creación, modificación, análisis u optimización de un diseño.

¡Nuevo!!: Automatización de diseño electrónico y Diseño asistido por computadora · Ver más »

Diseño de circuitos

El diseño de circuitos es la parte de la electrónica que estudia distintas metodologías con el fin de desarrollar un circuito electrónico, que puede ser tanto analógico como digital.

¡Nuevo!!: Automatización de diseño electrónico y Diseño de circuitos · Ver más »

Fotoplóter

Un fotoplóter es un plóter de nueva generación que se utiliza para la realización de fotolitos para circuitos impresos, realiza la misma tarea de interpretación y filmación de fotolitos que un plóter tradicional, pero con mayor resolución.

¡Nuevo!!: Automatización de diseño electrónico y Fotoplóter · Ver más »

Front end y back end

Front end y back end son términos que se refieren a la separación de intereses entre una capa de presentación y una capa de acceso a datos, respectivamente.

¡Nuevo!!: Automatización de diseño electrónico y Front end y back end · Ver más »

GDSII

GDSII (Graphic Database System) es un formato de archivo de base de datos, que desde hace años ha sido en la industria de los circuitos integrados el estándar de facto para el intercambio de datos entre herramientas de diseño físico de chips.

¡Nuevo!!: Automatización de diseño electrónico y GDSII · Ver más »

Herramienta

Una herramienta es un objeto elaborado que sirve como extensión del cuerpo de quien lo usa, para permitir o facilitar una tarea mecánica que sin ella no se podría realizar, o sería muy difícil, por falta de fuerza, movilidad, dimensiones, etc.

¡Nuevo!!: Automatización de diseño electrónico y Herramienta · Ver más »

Hewlett-Packard

Hewlett-Packard Company, más conocida como HP, es una empresa de tecnología estadounidense, con sede en Palo Alto, California, dedicada a la fabricación y comercialización de hardware y software además de brindar servicios de asistencia relacionados con la informática.

¡Nuevo!!: Automatización de diseño electrónico y Hewlett-Packard · Ver más »

Integración a muy gran escala

La integración a escala muy grande o VLSI (sigla en inglés de very large-scale integration) es el proceso de crear un circuito integrado compuesto por millones de transistores en un único chip.

¡Nuevo!!: Automatización de diseño electrónico e Integración a muy gran escala · Ver más »

Intel

Intel Corporation es el mayor fabricante de circuitos integrados del mundo según su cifra de negocio anual.

¡Nuevo!!: Automatización de diseño electrónico e Intel · Ver más »

Litografía

La litografía (del griego antiguo λίθος, lithos, 'piedra', y γράφειν, graphein, 'escribir') es un procedimiento de impresión que consiste en trazar un dibujo, un texto, o una fotografía, en una piedra calcárea o una plancha metálica.

¡Nuevo!!: Automatización de diseño electrónico y Litografía · Ver más »

Lynn Conway

Lynn Conway (Mount Vernon, Nueva York, 2 de enero de 1938) es una informática, inventora y activista transgénero estadounidense.

¡Nuevo!!: Automatización de diseño electrónico y Lynn Conway · Ver más »

Matriz de puerta programable en campo

Una matriz de puertas lógicas programable en campo o FPGA (del inglés field-programmable gate array), es un dispositivo programable que contiene bloques de lógica cuya interconexión y funcionalidad puede ser configurada en el momento, mediante un lenguaje de descripción especializado.

¡Nuevo!!: Automatización de diseño electrónico y Matriz de puerta programable en campo · Ver más »

Máscara fotográfica

Una máscara fotográfica es una placa opaca, con áreas transparentes que permiten que la luz se transmita en un patrón determinado.

¡Nuevo!!: Automatización de diseño electrónico y Máscara fotográfica · Ver más »

Microelectrónica

La microelectrónica es un subcampo de la electrónica.

¡Nuevo!!: Automatización de diseño electrónico y Microelectrónica · Ver más »

Planta de fabricación de semiconductores

Una planta de fabricación de semiconductores, también conocida por el acrónimo fab, es una fábrica donde se producen circuitos integrados.

¡Nuevo!!: Automatización de diseño electrónico y Planta de fabricación de semiconductores · Ver más »

Semiconductor

Un semiconductor es un elemento que se comporta o bien como un conductor o bien como un aislante dependiendo de diversos factores, por ejemplo: el campo eléctrico o magnético, la presión, la radiación que le incide, o la temperatura del ambiente en el que se encuentre.

¡Nuevo!!: Automatización de diseño electrónico y Semiconductor · Ver más »

Software

Se conoce como software, logicial o soporte lógico al sistema formal de un sistema informático, que comprende el conjunto de los componentes lógicos necesarios que hace posible la realización de tareas específicas, en contraposición a los componentes físicos que son llamados hardware.

¡Nuevo!!: Automatización de diseño electrónico y Software · Ver más »

SPICE

SPICE es un acrónimo inglés de Simulation Program with Integrated Circuits Emphasis (Programa de simulación con énfasis en circuitos integrados).

¡Nuevo!!: Automatización de diseño electrónico y SPICE · Ver más »

Synopsys

Synopsys Inc. es una compañía estadounidense líder en el desarrollo de software especializado para el diseño de circuitos integrados complejos (en inglés, EDA: Electronic Design Automation).

¡Nuevo!!: Automatización de diseño electrónico y Synopsys · Ver más »

Universidad de Míchigan

La Universidad de Míchigan (Michigan, UMich o U-M) (en idioma inglés The University of Michigan) es una universidad pública estadounidense que está ubicada en el estado de Míchigan, cuyo campus principal se encuentra en Ann Arbor y tiene otros campus menores en Flint y Dearborn.

¡Nuevo!!: Automatización de diseño electrónico y Universidad de Míchigan · Ver más »

Universidad Federal de Paraná

La Universidade Federal do Paraná (UFPR), Universidad Federal de Paraná— es la universidad brasileña más antigua, fundada el 19 de diciembre de 1912, inicialmente con el nombre de Universidade do Paraná.

¡Nuevo!!: Automatización de diseño electrónico y Universidad Federal de Paraná · Ver más »

Unix

Unix (registrado oficialmente como UNIX®) es un sistema operativo portable, multitarea y multiusuario; desarrollado en 1969 por un grupo de empleados de los laboratorios Bell de AT&T.

¡Nuevo!!: Automatización de diseño electrónico y Unix · Ver más »

Verificación formal

La verificación formal, en ingeniería y en computación, es un método de validación estática (se valida a través del propio código del programa, a partir de una abstracción o de una representación simbólica) en el que, partiendo de un conjunto axiomático, reglas de inferencia y algún lenguaje lógico (como la lógica de primer orden), se puede encontrar una demostración o prueba de corrección de un programa, algoritmo, etc, aunque también se puede encontrar su refutación.

¡Nuevo!!: Automatización de diseño electrónico y Verificación formal · Ver más »

Verilog

Verilog es un lenguaje de descripción de hardware (HDL, del Inglés Hardware Description Language) usado para modelar sistemas electrónicos.

¡Nuevo!!: Automatización de diseño electrónico y Verilog · Ver más »

VHDL

VHDL es un lenguaje de especificación definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) utilizado para describir circuitos digitales y para la automatización de diseño electrónico, a estos lenguajes se les suele llama lenguajes de descripción de hardware.

¡Nuevo!!: Automatización de diseño electrónico y VHDL · Ver más »

Redirecciona aquí:

Automatización del diseño electrónico, Diseño electrónico automatizado, ECAD, EDA, Electronic design automation.

SalienteEntrante
¡Hey! ¡Ahora tenemos Facebook! »