Logo
Unionpedia
Comunicación
Disponible en Google Play
¡Nuevo! ¡Descarga Unionpedia en tu dispositivo Android™!
Instalar
¡Más rápido que el navegador!
 

VHDL

Índice VHDL

VHDL es un lenguaje de especificación definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) utilizado para describir circuitos digitales y para la automatización de diseño electrónico, a estos lenguajes se les suele llama lenguajes de descripción de hardware.

45 relaciones: ABEL, Ada (lenguaje de programación), AHDL, Aldec, Altera, Arquitectura de Bus Simple, Automatización de diseño electrónico, CDP1802, Circuito, Circuito integrado de aplicación específica, Computación paralela, Dassault Rafale, Diseño de circuitos, Diseño de procesadores, Doxygen, Electric (software), Especificación AMBA, Exceso-3, General Instrument AY-3-8910, GNU Compiler Collection, Institute of Electrical and Electronics Engineers, Juan González Gómez, Lógica programada, Lenguaje de descripción de hardware, Lenguaje de especificación, LEON, Lockheed Martin F-22 Raptor, Lockheed Martin F-35 Lightning II, Logisim, Lua, MATLAB, Matriz de puerta programable en campo, Núcleo de propiedad intelectual de semiconductores, Notepad++, OpenCores, Quite Universal Circuit Simulator, Saab 39 Gripen, SHA-2, Sistema en un chip, SystemC, Verilog, Verilog-A, Very High Speed Integrated Circuit, Virtex (FPGA), Zilog Z80.

ABEL

ABEL es la abreviatura de Advanced Boolean Expression Language.

¡Nuevo!!: VHDL y ABEL · Ver más »

Ada (lenguaje de programación)

Ada es un lenguaje de programación orientado a objetos y fuertemente tipado de forma estática que fue diseñado por Jean Ichbiah de CII Honeywell Bull por encargo del Departamento de Defensa de los Estados Unidos.

¡Nuevo!!: VHDL y Ada (lenguaje de programación) · Ver más »

AHDL

AHDL (sigla en inglés de "Altera Hardware Description Language", Lenguaje de Descripción de Hardware de Altera) es un lenguaje de descripción de hardware (HDL) propietario de Altera Corporation para la programación de CPLDs (Complex Programmable Logic Devices o Dispositivos Complejos de Lógica Programable) y FPGAs (Field Programmable Gate Arrays o Campo de Matrices de Puertas Programables).

¡Nuevo!!: VHDL y AHDL · Ver más »

Aldec

Aldec, Inc.  es una compañía privada de automatización electrónica de proyectos, con sede en Henderson, Nevada, que provee aplicaciones e instrumentos informáticos, usados en la creación y verificación de proyectos digitales, envolviendo las tecnologías FPGA y ASIC.

¡Nuevo!!: VHDL y Aldec · Ver más »

Altera

Altera Corporation es un fabricante líder de dispositivos lógicos programables.

¡Nuevo!!: VHDL y Altera · Ver más »

Arquitectura de Bus Simple

La Arquitectura de Bus Simple (SBA por las siglas en inglés de Simple Bus Architecture) es un conjunto de herramientas de software y núcleos de propiedad intelectual interconectados por buses definidos mediante reglas claras y simples, con los que se logra la implementación de un sistema embebido en chip (SoC); además de plantillas básicas que permiten un rápido diseño, su estructura le confiere un valor educativo inherente.

¡Nuevo!!: VHDL y Arquitectura de Bus Simple · Ver más »

Automatización de diseño electrónico

La automatización de diseño electrónico (del inglés Electronic Design Automation, o simplemente EDA) se refiere a una categoría de herramientas de software enfocadas en el proyecto, concepción, y producción de sistemas electrónicos, abarcando desde el proyecto de circuitos integrados hasta el desarrollo de placas de circuito impreso.

¡Nuevo!!: VHDL y Automatización de diseño electrónico · Ver más »

CDP1802

El RCA CDP1802 es un microprocesador de 8 bits LSI (Large Scale Integration) en formato DIP 40 fabricado en tecnología CMOS implementado utilizando la arquitectura COSMAC (Complementary Symmetry Monolithic Array Computer) lanzado por RCA a principios de 1976, siendo el primer microprocesador de la compañía en un solo chip.

¡Nuevo!!: VHDL y CDP1802 · Ver más »

Circuito

Un circuito es una interconexión de componentes eléctricos (como baterías, resistores, inductores, condensadores, interruptores, transistores, entre otros) que transportan la corriente eléctrica a través de una trayectoria cerrada.

¡Nuevo!!: VHDL y Circuito · Ver más »

Circuito integrado de aplicación específica

Un circuito Integrado para aplicaciones específicas (o ASIC, por sus siglas en inglés, Application-Specific Integrated Circuit) es un circuito integrado hecho a la medida para un uso en particular, en vez de ser concebido para propósitos de uso general.

¡Nuevo!!: VHDL y Circuito integrado de aplicación específica · Ver más »

Computación paralela

La computación paralela es una forma de cómputo en la que muchas instrucciones se ejecutan simultáneamente, operando sobre el principio de que problemas grandes, a menudo se pueden dividir en unos más pequeños, que luego son resueltos simultáneamente (en paralelo).

¡Nuevo!!: VHDL y Computación paralela · Ver más »

Dassault Rafale

El Dassault Rafale es un caza polivalente de 4,5.ª generación, bimotor, y con una configuración de ala en delta y canards, diseñado y construido en Francia por la compañía Avions Marcel Dassault-Bréguet Aviation, actualmente denominada Dassault Aviation.

¡Nuevo!!: VHDL y Dassault Rafale · Ver más »

Diseño de circuitos

El diseño de circuitos es la parte de la electrónica que estudia distintas metodologías con el fin de desarrollar un circuito electrónico, que puede ser tanto analógico como digital.

¡Nuevo!!: VHDL y Diseño de circuitos · Ver más »

Diseño de procesadores

El Diseño de procesadores es un campo de la  ingeniería de ordenadores y de la ingeniería electrónica (fabricación) en todo aquello relativo a la realización de un procesador, un componente muy importante dentro del hardware de ordenador.

¡Nuevo!!: VHDL y Diseño de procesadores · Ver más »

Doxygen

Doxygen es un generador de documentación para C++, C, Java, Objective-C, Python, Fortran, IDL (versiones Corba y Microsoft), VHDL y en cierta medida para PHP, C# y D. Dado que es fácilmente adaptable funciona en la mayoría de sistemas Unix, así como en Windows y Mac OS X. La mayor parte del código de Doxygen está escrita por Dimitri van Heesch.

¡Nuevo!!: VHDL y Doxygen · Ver más »

Electric (software)

The Electric VLSI Design System es una herramienta de automatización de diseño electrónico, dedicada al diseño de componentes electrónicos asistidos por computadora (EDA o ECAD), escrita por Steven M. Rubin.

¡Nuevo!!: VHDL y Electric (software) · Ver más »

Especificación AMBA

AMBA (significa Advanced Microcontroller Bus Architecture o en castellano Arquitectura avanzada de bus para microcontroladores) es una especificación introducida en 1996 y que se usa ampliamente como bus on-chip para procesadores de ARM.

¡Nuevo!!: VHDL y Especificación AMBA · Ver más »

Exceso-3

El código binario Exceso-3, o 3-exceso (normalmente abreviado como XS-3 o X3) o código Stibitz (por George Stibitz) es un código decimal codificado en binario autocomplementario y un sistema de numeración. Es una representación parcial. Exceso-3 fue utilizado en varias computadoras antiguas al igual que en cajas registradoras y calculadoras electrónicas portátiles en la década del '70, además de muchas otras cosas.

¡Nuevo!!: VHDL y Exceso-3 · Ver más »

General Instrument AY-3-8910

El AY-3-8910 es un PSG (del inglés programmable sound generator) de 3 voces diseñado por General Instrument en 1978, inicialmente para usarlo con su CPU de 16 bits o uno de los ordenadores de 8 bits basados en PIC1650.

¡Nuevo!!: VHDL y General Instrument AY-3-8910 · Ver más »

GNU Compiler Collection

El GNU Compiler Collection (colección de compiladores GNU) es un conjunto de compiladores creados por el proyecto GNU.

¡Nuevo!!: VHDL y GNU Compiler Collection · Ver más »

Institute of Electrical and Electronics Engineers

El Instituto de Ingenieros Eléctricos y Electrónicos (IEEE) es una asociación mundial de ingenieros dedicada a la normalización y el desarrollo en áreas técnicas.

¡Nuevo!!: VHDL e Institute of Electrical and Electronics Engineers · Ver más »

Juan González Gómez

Juan González Gómez, conocido también como Obijuan, es un ingeniero doctor en informática y telecomunicaciones nacido el 18 de enero de 1973 en Madrid, España y reconocido públicamente por haberse convertido en el primer español ganador de los O'Reilly Open Source Award 2017.

¡Nuevo!!: VHDL y Juan González Gómez · Ver más »

Lógica programada

En electrónica y computación la Lógica programada es un tipo de diseño implementado en chips que permite la reconfiguración de los circuitos con el simple cambio del software que incorpora, es lo contrario de la lógica cableada.

¡Nuevo!!: VHDL y Lógica programada · Ver más »

Lenguaje de descripción de hardware

Un lenguaje de descripción de hardware (HDL, hardware description language) es un lenguaje de modelado especializado que se utiliza para definir la estructura, diseño y operación de circuitos electrónicos, y más comúnmente, de circuitos electrónicos digitales, como el convertidor analógico-digital o cualquier antena satelital.

¡Nuevo!!: VHDL y Lenguaje de descripción de hardware · Ver más »

Lenguaje de especificación

En el contexto de la ingeniería eléctrica, la computación y ramas afines, un lenguaje de especificación o lenguaje de descripción es un lenguaje formal o semi-formal cuya función es construir modelos de los sistemas que se desea elaborar.

¡Nuevo!!: VHDL y Lenguaje de especificación · Ver más »

LEON

LEON es un núcleo de microprocesador de 32 bits basado en la arquitectura RISC y en el conjunto de instrucciones SPARC-V8.

¡Nuevo!!: VHDL y LEON · Ver más »

Lockheed Martin F-22 Raptor

El F-22 Raptor (del inglés raptor, que significa rapaz o ave de presa) es un avión de caza monoplaza bimotor de quinta generación, concebido en Estados Unidos durante la década de 1980 y desarrollado en los años 1990, que usa tecnología furtiva.

¡Nuevo!!: VHDL y Lockheed Martin F-22 Raptor · Ver más »

Lockheed Martin F-35 Lightning II

El Lockheed Martin F-35 Lightning II (rayo II) es un avión de combate polivalente de quinta generación, monoplaza y con capacidad furtiva, desarrollado bajo el programa ''Joint Strike Fighter'' para reemplazar al F-16, A-10, F/A-18 y al AV-8B en misiones de ataque a tierra, reconocimiento y defensa aérea.

¡Nuevo!!: VHDL y Lockheed Martin F-35 Lightning II · Ver más »

Logisim

Logisim es un simulador lógico que permite diseñar y simular circuitos electrónicos digitales mediante una interfaz gráfica de usuario.

¡Nuevo!!: VHDL y Logisim · Ver más »

Lua

Lua es un lenguaje de programación multiparadigma, imperativo, estructurado y bastante ligero, que fue diseñado como un lenguaje interpretado con una semántica extendible.

¡Nuevo!!: VHDL y Lua · Ver más »

MATLAB

MATLAB (abreviatura de MATrix LABoratory, «laboratorio de matrices») es un sistema de cómputo numérico que ofrece un entorno de desarrollo integrado (IDE) con un lenguaje de programación propio (lenguaje M).

¡Nuevo!!: VHDL y MATLAB · Ver más »

Matriz de puerta programable en campo

Una matriz de puertas lógicas programable en campo o FPGA (del inglés field-programmable gate array), es un dispositivo programable que contiene bloques de lógica cuya interconexión y funcionalidad puede ser configurada en el momento, mediante un lenguaje de descripción especializado.

¡Nuevo!!: VHDL y Matriz de puerta programable en campo · Ver más »

Núcleo de propiedad intelectual de semiconductores

En diseño electrónico, un núcleo de propiedad intelectual de semiconductores, núcleo PI o bloque PI es un plano de diseño de una unidad de lógica reutilizable, celda o circuito integrado (comúnmente llamado "chip") que es propiedad intelectual de una de las partes.

¡Nuevo!!: VHDL y Núcleo de propiedad intelectual de semiconductores · Ver más »

Notepad++

Notepad++ es un editor de texto y de código fuente libre con soporte para varios lenguajes de programación.

¡Nuevo!!: VHDL y Notepad++ · Ver más »

OpenCores

OpenCores es la comunidad de hardware de código abierto más grande del mundo que desarrolla hardware libre digital a través de la automatización de diseño electrónico, con un ethos similar al del movimiento del software libre.

¡Nuevo!!: VHDL y OpenCores · Ver más »

Quite Universal Circuit Simulator

Quite Universal Circuit Simulator o Qucs, es un simulador de circuitos electrónicos de código abierto cuya licencia de distribución es GNU GPL.

¡Nuevo!!: VHDL y Quite Universal Circuit Simulator · Ver más »

Saab 39 Gripen

El Saab 39 Gripen, designado JAS 39 Gripen por la Fuerza Aérea Sueca, es un caza polivalente ligero, monomotor, desarrollado en los años 1980 por el consorcio de empresas suecas IG-JAS, liderado por la compañía aeroespacial Saab.

¡Nuevo!!: VHDL y Saab 39 Gripen · Ver más »

SHA-2

SHA-2 es un conjunto de funciones hash criptográficas (SHA-224, SHA-256, SHA-384, SHA-512) diseñadas por la Agencia de Seguridad Nacional (NSA) y publicada en 2001 por el Instituto Nacional de Estándares y Tecnología (NIST) como un Estándar Federal de Procesamiento de la Información (FIPS).

¡Nuevo!!: VHDL y SHA-2 · Ver más »

Sistema en un chip

Un sistema en chip (SoC, del inglés system on a chip) describe la tendencia cada vez más frecuente de usar tecnologías de fabricación que integran todos o gran parte de los módulos que componen un computador o cualquier otro sistema informático o electrónico en un único circuito integrado o chip.

¡Nuevo!!: VHDL y Sistema en un chip · Ver más »

SystemC

SystemC es frecuentemente descrito como un lenguaje de descripción de hardware como son VHDL y Verilog, pero es más adecuado describirlo como un lenguaje de descripción de sistemas, puesto que es realmente útil cuando se usa para modelar sistemas a nivel de comportamiento.

¡Nuevo!!: VHDL y SystemC · Ver más »

Verilog

Verilog es un lenguaje de descripción de hardware (HDL, del Inglés Hardware Description Language) usado para modelar sistemas electrónicos.

¡Nuevo!!: VHDL y Verilog · Ver más »

Verilog-A

Verilog-A es un lenguaje de modelamiento estándar en la industria para circuitos analógicos.

¡Nuevo!!: VHDL y Verilog-A · Ver más »

Very High Speed Integrated Circuit

Very High Speed Integrated Circuit (Circuito Integrado de Muy Alta Velocidad, del inglés) es, como su propio nombre indica, un circuito integrado de muy alta velocidad, un tipo de circuito de lógica digital.

¡Nuevo!!: VHDL y Very High Speed Integrated Circuit · Ver más »

Virtex (FPGA)

Virtex es la familia insignia de los productos desarrollados por Xilinx.

¡Nuevo!!: VHDL y Virtex (FPGA) · Ver más »

Zilog Z80

El Zilog Z80 (Z80) es un microprocesador de 8 bits cuya arquitectura se encuentra a medio camino entre la organización de acumulador y de registros generales.

¡Nuevo!!: VHDL y Zilog Z80 · Ver más »

SalienteEntrante
¡Hey! ¡Ahora tenemos Facebook! »