Logo
Unionpedia
Comunicación
Disponible en Google Play
¡Nuevo! ¡Descarga Unionpedia en tu dispositivo Android™!
Instalar
¡Más rápido que el navegador!
 

VHDL

Índice VHDL

VHDL es un lenguaje de especificación definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) utilizado para describir circuitos digitales y para la automatización de diseño electrónico, a estos lenguajes se les suele llama lenguajes de descripción de hardware.

25 relaciones: ABEL, Ada (lenguaje de programación), Biestable, Circuito integrado, Circuito integrado de aplicación específica, Diseño asistido por computadora, Electrónica, Filtro digital, GNU, Hardware, Hola mundo, Impedancia, Institute of Electrical and Electronics Engineers, Integración a muy gran escala, LabVIEW, Lógica programada, Lenguaje de descripción de hardware, Lenguaje de especificación, Lenguaje de programación, MATLAB, Matriz de puerta programable en campo, Máquina de estados, Multiplexor, Verilog, Very High Speed Integrated Circuit.

ABEL

ABEL es la abreviatura de Advanced Boolean Expression Language.

¡Nuevo!!: VHDL y ABEL · Ver más »

Ada (lenguaje de programación)

Ada es un lenguaje de programación orientado a objetos y fuertemente tipado de forma estática que fue diseñado por Jean Ichbiah de CII Honeywell Bull por encargo del Departamento de Defensa de los Estados Unidos.

¡Nuevo!!: VHDL y Ada (lenguaje de programación) · Ver más »

Biestable

En electrónica, biestable, flip-flop o latch, es un circuito multivibrador, que tiene cuatro estados estables y puede almacenar energía.

¡Nuevo!!: VHDL y Biestable · Ver más »

Circuito integrado

Un circuito integrado (CI), también conocido como chip o microchip, es una estructura de pequeñas dimensiones de material semiconductor, normalmente silicio, de algunos milímetros cuadrados de superficie (área), sobre la que se fabrican circuitos electrónicos generalmente mediante fotolitografía y que está protegida dentro de un encapsulado plástico o de cerámica.

¡Nuevo!!: VHDL y Circuito integrado · Ver más »

Circuito integrado de aplicación específica

Un circuito Integrado para aplicaciones específicas (o ASIC, por sus siglas en inglés, Application-Specific Integrated Circuit) es un circuito integrado hecho a la medida para un uso en particular, en vez de ser concebido para propósitos de uso general.

¡Nuevo!!: VHDL y Circuito integrado de aplicación específica · Ver más »

Diseño asistido por computadora

El diseño asistido por computadora, habitualmente conocido como CAD (por sus siglas en inglés computer-aided design), es el uso de computadores para ayudar en la creación, modificación, análisis u optimización de un diseño.

¡Nuevo!!: VHDL y Diseño asistido por computadora · Ver más »

Electrónica

La electrónica es una rama de la física aplicada que comprende la física, la ingeniería, la tecnología y las aplicaciones que tratan con la emisión, el flujo y el control de los electrones u otras partículas cargadas eléctricamente— en el vacío y la materia.

¡Nuevo!!: VHDL y Electrónica · Ver más »

Filtro digital

Un filtro digital es un tipo de filtro que opera sobre señales discretas y cuantizadas, implementado con tecnología digital, bien como un circuito digital o como un programa informático.

¡Nuevo!!: VHDL y Filtro digital · Ver más »

GNU

GNU es un sistema operativo de tipo Unix, así como una gran colección de programas informáticos que componen al sistema, desarrollado por y para el Proyecto GNU y auspiciado por la Free Software Foundation.

¡Nuevo!!: VHDL y GNU · Ver más »

Hardware

El hardware, equipo o soporte físico en informática se refiere a las partes físicas, tangibles, de un sistema informático, sus componentes eléctricos, electrónicos y electromecánicos.

¡Nuevo!!: VHDL y Hardware · Ver más »

Hola mundo

En informática, "Hola, mundo" o "Hello, World!", en inglés es un programa que muestra el texto «¡Hola, mundo!» en un dispositivo de visualización, en la mayoría de los casos la pantalla de un monitor.

¡Nuevo!!: VHDL y Hola mundo · Ver más »

Impedancia

La impedancia (Z) es una medida de oposición que presenta un circuito a una corriente cuando se aplica una tensión.

¡Nuevo!!: VHDL e Impedancia · Ver más »

Institute of Electrical and Electronics Engineers

El Instituto de Ingenieros Eléctricos y Electrónicos (IEEE) es una asociación mundial de ingenieros dedicada a la normalización y el desarrollo en áreas técnicas.

¡Nuevo!!: VHDL e Institute of Electrical and Electronics Engineers · Ver más »

Integración a muy gran escala

La integración a escala muy grande o VLSI (sigla en inglés de very large-scale integration) es el proceso de crear un circuito integrado compuesto por millones de transistores en un único chip.

¡Nuevo!!: VHDL e Integración a muy gran escala · Ver más »

LabVIEW

LabVIEW (acrónimo de Laboratory Virtual Instrument Engineering Workbench) es una plataforma y entorno de desarrollo para diseñar sistemas, con un lenguaje de programación visual gráfico pensado para sistemas hardware y software de pruebas, control y diseño, simulado o real y embebido.

¡Nuevo!!: VHDL y LabVIEW · Ver más »

Lógica programada

En electrónica y computación la Lógica programada es un tipo de diseño implementado en chips que permite la reconfiguración de los circuitos con el simple cambio del software que incorpora, es lo contrario de la lógica cableada.

¡Nuevo!!: VHDL y Lógica programada · Ver más »

Lenguaje de descripción de hardware

Un lenguaje de descripción de hardware (HDL, hardware description language) es un lenguaje de modelado especializado que se utiliza para definir la estructura, diseño y operación de circuitos electrónicos, y más comúnmente, de circuitos electrónicos digitales, como el convertidor analógico-digital o cualquier antena satelital.

¡Nuevo!!: VHDL y Lenguaje de descripción de hardware · Ver más »

Lenguaje de especificación

En el contexto de la ingeniería eléctrica, la computación y ramas afines, un lenguaje de especificación o lenguaje de descripción es un lenguaje formal o semi-formal cuya función es construir modelos de los sistemas que se desea elaborar.

¡Nuevo!!: VHDL y Lenguaje de especificación · Ver más »

Lenguaje de programación

Un lenguaje de programación es un lenguaje formal (o artificial, es decir, un lenguaje con reglas gramaticales bien definidas) que proporciona a una persona, en este caso el programador, la capacidad y habilidad de escribir (o programar) una serie de instrucciones o secuencias de órdenes en forma de algoritmos con el fin de controlar el comportamiento físico o lógico de un sistema informático, para que de esa manera se puedan obtener diversas clases de datos o ejecutar determinadas tareas.

¡Nuevo!!: VHDL y Lenguaje de programación · Ver más »

MATLAB

MATLAB (abreviatura de MATrix LABoratory, «laboratorio de matrices») es un sistema de cómputo numérico que ofrece un entorno de desarrollo integrado (IDE) con un lenguaje de programación propio (lenguaje M).

¡Nuevo!!: VHDL y MATLAB · Ver más »

Matriz de puerta programable en campo

Una matriz de puertas lógicas programable en campo o FPGA (del inglés field-programmable gate array), es un dispositivo programable que contiene bloques de lógica cuya interconexión y funcionalidad puede ser configurada en el momento, mediante un lenguaje de descripción especializado.

¡Nuevo!!: VHDL y Matriz de puerta programable en campo · Ver más »

Máquina de estados

Se denomina máquina de estados a un modelo de comportamiento de un sistema con entradas y salidas en donde las salidas dependen no solo de las señales de entradas actuales, sino también de las anteriores.

¡Nuevo!!: VHDL y Máquina de estados · Ver más »

Multiplexor

Los multiplexores son circuitos combinacionales con varias entradas y una única salida de datos.

¡Nuevo!!: VHDL y Multiplexor · Ver más »

Verilog

Verilog es un lenguaje de descripción de hardware (HDL, del Inglés Hardware Description Language) usado para modelar sistemas electrónicos.

¡Nuevo!!: VHDL y Verilog · Ver más »

Very High Speed Integrated Circuit

Very High Speed Integrated Circuit (Circuito Integrado de Muy Alta Velocidad, del inglés) es, como su propio nombre indica, un circuito integrado de muy alta velocidad, un tipo de circuito de lógica digital.

¡Nuevo!!: VHDL y Very High Speed Integrated Circuit · Ver más »

SalienteEntrante
¡Hey! ¡Ahora tenemos Facebook! »